[an error occurred while processing this directive]
пример не корректный
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive]

Отправлено yes 08 ноября 2000 г. 12:23
В ответ на: Интересные результаты получились: Synplicity SynplifyPro vs. Synopsis FPGA Express vs. Exemplar Logic LeonardoSpectrum vs. Altera MAX+PLUS II VHDL (+) отправлено Victor 07 ноября 2000 г. 13:36

ab_reg <= a_reg * b_reg;

эта штука может не поддерживаться (поддерживаться криво) разными синтезами

есть много способов сделать умножитель

в старом Synopsise для хорошей реализации datapath элементов нужно было покупать специальный пакет

в новых синтезах обычно эта фича - build-in

я работаю (иногда) с синтезом для заказных кристалов - но в FPGA наверно также

то есть пример описывает способность средств синтезировать умножитель (если есть хелп к средствам - посмотрите про datapath...)

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru