[an error occurred while processing this directive]
Каким образом оптимизируется код в VHDL?
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive]

Отправлено ДM 26 января 2001 г. 16:56

Например при написании программы на VHDL могу ли я, приблизительно понимать сколько это получиться объёма в прошивке?
За счёт чего в программе на VHDL можно уменьшить объём прошивки?
Процедуры и функции играют какую-нибудь роль в этом или предназначены для удобства программиста?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru