[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ: Аналогично
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Valeri 14 июня 2001 г. 01:08
В ответ на: Интересно.Я чесно говоря считал,что LPM альтеры ничем не перебить.А как насчет поведенческого описания(например автоматы с множеством состояний? отправлено Malyan 13 июня 2001 г. 23:49

Ответ тот же: Лучше, чем это делает Synplify, пока никто другой (включая и саму Альтеру) сделать не может.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru