Только если он на верхнем уровне иерархии и Q объявлено неправильно...
(«Телесистемы»: Конференция «Микроконтроллеры и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено si 24 апреля 2004 г. 14:02
В ответ на: 0xff, но fpga шники спят, можно ли на vhdl max2plus исп OUT порты с третьим состоянием- компилер ругается- как победить? (код +) Спасибо. отправлено гоша 24 апреля 2004 г. 13:44

Должно быть.
Q : inout std_logic_vector(15 downto 0)

Составить ответ  |||  Конференция  |||  Архив

Ответы



Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru