[an error occurred while processing this directive]
XST (ISE 6.2) матерится на оператор сдвига в VHDL (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Doka 03 августа 2004 г. 23:49

ошибка(синтезатор выдает) :
shift_norm.vhd Line 43. parse error, unexpected SRL

строчка на которую жалуется:
tmp <= srl (din, CONV_INTEGER(coe_shift));

-- function "srl" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED;
-- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0)
-- Result: SHIFT_RIGHT(ARG, COUNT)

библиотека подключено нужная:
use IEEE.numeric_std.ALL;

все сигналы - std_logic_vector

шаманские пляски с преобразованиями типов не помогли - да и тогда бы ошибка была бы о несоответствии типов :(
..что интересно :
tmp <= SHR (din, coe_shift);
синтезируется тока трабла в том, что я не знаю что означает SHR ((



Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru