0xff, но fpga шники спят, можно ли на vhdl max2plus исп OUT порты с третьим состоянием- компилер ругается- как победить? (код +) Спасибо.
(«Телесистемы»: Конференция «Микроконтроллеры и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено гоша 24 апреля 2004 г. 13:44

Error: Tri or OPNDRN buffer':760' can only drive logic ':20' if connected to a bidir pin

/* error относится к порту Q */


--=============================================================================
ENTITY position_latch IS
GENERIC (WIDTH : integer :=16);
PORT (
DATA : IN std_logic_vector (15 downto 0);
RST : IN std_logic;
CLK : IN std_logic;
OE : IN std_logic;
Q : OUT std_logic_vector(15 downto 0)
);
END position_latch ;
--=============================================================================
ARCHITECTURE behv OF position_latch IS
signal Qtmp : std_logic_vector (15 downto 0);
BEGIN
--=============================================================================
PROCESS(Clk,rst,Data)
BEGIN
if(rst = '0') then Qtmp <= "0000000000000000";
elsif (Clk = '1' and Clk'event) then Qtmp <= DATA;
end if;
END PROCESS;
--=============================================================================
PROCESS(CLK, OE, Qtmp)
BEGIN
if (Clk = '1' and Clk'event) then
if(OE='0') then Q <=Qtmp;
else Q <= "ZZZZZZZZZZZZZZZZ";
end if;
end if;
END PROCESS;
--=============================================================================
END behv;


Составить ответ  |||  Конференция  |||  Архив

Ответы



Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru