[an error occurred while processing this directive]
\altera\quartus42\eda\sim_lib\ в этой папке лежат файлы с библиотеками для симуляции. Чтобы
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Serega Doc 04 апреля 2005 г. 09:37
В ответ на: Да. Квартус 2, при создании проекта укзал что квартус. В Library manager есть библиотека соответствующая.... отправлено Artem 04 апреля 2005 г. 09:24

все заработало надо этими библиотеками подменить библиотеки в Aldec ActiveHDL.
Затем открыть проэкт который отвечает за данную библиотеку
у меня для VHDL версии это \Program Files\Aldec\Active-HDL 6.1\Vlib\altera_mf\altera_mf.adf

У вас это скорее всего \Program Files\Aldec\Active-HDL 6.1\Vlib\ovi_altera_mf\ovi_altera_mf.adf

И в открывшемся проэкте запустить *.DO файл. Когда библиотека перекомпилится и ваш проект должен моделироватся

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru